ASIC Physical Design, Advanced | VLSI.X402

ASIC Physical Design, Advanced | VLSI.X402


This lab-based course covers advanced topics of ASIC front-to-back design automation. At 32nm node and below, ASIC physical designers have to face multi-vdd, multi-vt, high power, noise, and an explosion of process design rules—all while accounting for chip reliability. The course further develops the students' advanced ASIC design skills by introducing state-of-the-art EDA back-end design tools and methodology.

The course provides a 28nm library for students to practice techniques learned in class. After reviewing the design challenges, the course covers UPF-based synthesis and placement. The instructor will give an example of congestion analysis and reduction, and proceed with detailed route analysis and optimization. Students will learn the Clock Tree Synthesis (CTS) and how to optimize timing sign-off in nanometer technology. The course also introduces the hierarchical design flow, power mesh synthesis, and IR drop analysis. The instructor will share tips from extensive professional experience in ASIC implementation over many generations and will also provide basic scripts to facilitate lab exercises.


Learning Outcomes
At the conclusion of the course, you should be able to

  • Demonstrate advanced knowledge of ASIC backend design skills
  • Perform digital design work across all aspects of the design flow from RTL to GDS using the latest process nodes/technologies
  • Utilize EDA and Intelligent System Design tools such as Synopsys’s Design Compiler, IC CompilerII, IC Validator, StarRC, and PrimeTime; and Cadence's Innovus PNR tool

    • Topics include

      • Advanced physical design challenges
      • DC-topo multi-vt/multi-vdd UPF synthesis
      • Power mesh synthesis and optimization
      • Placement and IR drop analysis and reduction techniques
      • Congestion analysis and reduction
      • CTS analysis and optimization
      • Detail route analysis and optimization
      • Sign-off optimization
      • Hierarchical multi-vt/multi-vdd flow

      Skills Needed:

      Basic knowledge of the backend design flow from netlist to GDSII. Knowledge and hands-on experience with Linux/Unix will be required for lab exercises. Students enrolling in this class should be able to answer 'yes' to six of the eight questions on the linked Google Quiz.

Have a question about this course?
Speak to a student services representative.
Call (408) 861-3860
FAQ
ENROLL EARLY!
This course is related to the following programs:

Prerequisite(s):

Estimated Cost: TBD

Course Availability Notification

Please use this form to be notified when this course is open for enrollment.

Contact Us
Speak to a student services representative.

Call (408) 861-3860

Envelope extension@ucsc.edu